Quartus2でVerilogで書いたプログラムをsymbolとして変化する作業

Quartus2でまずsymbolに変換したいverilogファイルを選択し、メニューより”File”--->”Create / _Update”--->”Create Symbol file for current”を選択する。
すると、直ちにsymbol変換のためのコンパイルが開始される。100%になると、コンパイル終了。そして、メニューより”File”--->"New"---->"Block Diagram/Schematic "でblock Diagramファイルを作成し、右クリックで”Insert”を選ぶと、先ほどsymbolに変換したファイルを選択できるようになっている。